1 / 26

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems. Jacob Murray School of EECS Washington State University jmurray@eecs.wsu.edu. Test Methodologies. Adopted from “Essentials of Electronic Testing” by Bushnell and Agrawal. Lecture 25. Design for Testability (DFT): Full-Scan. Definition Ad-hoc methods

abie
Download Presentation

EE434 ASIC & Digital Systems

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. EE434ASIC & Digital Systems Jacob Murray School of EECS Washington State University jmurray@eecs.wsu.edu

  2. Test Methodologies Adopted from “Essentials of Electronic Testing” by Bushnell and Agrawal Lecture 25

  3. Design for Testability (DFT): Full-Scan • Definition • Ad-hoc methods • Scan design • Design rules • Scan register • Scan flip-flops • Scan test sequences • Overheads • Scan design system • Summary

  4. Definition • Design for testability (DFT) refers to those design techniques that make test generation and test application cost-effective. • DFT methods for digital circuits: • Ad-hoc methods • Structured methods: • Scan • Partial Scan • Built-in self-test (BIST) • Boundary scan • DFT method for mixed-signal circuits: • Analog test bus

  5. Ad-Hoc DFT Methods • Good design practices learnt through experience are used as guidelines: • Avoid asynchronous (unclocked) feedback. • Make flip-flops initializable. • Avoid redundant gates. Avoid large fanin gates. • Provide test control for difficult-to-control signals. • Avoid gated clocks. • Consider ATE requirements (tristates, etc.) • Design reviews conducted by experts or design auditing tools. • Disadvantages of ad-hoc DFT methods: • Experts and tools not always available. • Test generation is often manual with no guarantee of high fault coverage. • Design iterations may be necessary.

  6. Testability Measures • Need approximate measure of: • Difficulty of setting internal circuit lines to 0 or 1 by setting primary circuit inputs • Difficulty of observing internal circuit lines by observing primary outputs • Uses: • Analysis of difficulty of testing internal circuit parts – redesign or add special test hardware • Guidance for algorithms computing test patterns – avoid using hard-to-control lines • Estimation of fault coverage • Estimation of test vector length

  7. Observability • The observability of a particular circuit node is the degree to which we can observe that node at the output of an integrated circuit. • Measure the output of a gate within a larger circuit to check whether it operates correctly. • Limited number of nodes can be directly observed.

  8. Controllability • The controllability of an internal circuit node within a chip is a measure of the ease of setting the node to a 1 or 0 metric. • Degree of difficulty of testing a particular signal within a circuit • An easily controllable node would be directly settable via an input pad.

  9. Scan Design Provides for controllability and observability of flip-flops

  10. Scan Design • In test mode, all flip-flops functionally form one or more shift registers. • The inputs and outputs of these shift registers are connected to PI/Pos. • Using the test mode, all flip-flops can be set to any desired states. • The states of the flip-flops are observed by shifting the contents of the scan register out.

  11. Scan Design • Circuit is designed using pre-specified design rules. • Test structure (hardware) is added to the verified design: • Add a test control (TC) primary input. • Replace flip-flops by scan flip-flops (SFF) and connect to form one or more shift registers in the test mode. • Make input/output of each scan shift register controllable/observable from PI/PO. • Use combinational ATPG to obtain tests for all testable faults in the combinational logic. • Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test.

  12. Scan Design Rules • Use only clocked D-type of flip-flops for all state variables. • At least one PI pin must be available for test; more pins, if available, can be used. • All clocks must be controlled from PIs. • Clocks must not feed data inputs of flip-flops.

  13. Correcting a Rule Violation • All clocks must be controlled from PIs. Comb. logic D1 Q Comb. logic FF D2 CK Comb. logic Q D1 Comb. logic FF D2 CK

  14. Scan Flip-Flop (SFF) Master latch Slave latch D TC Q Logic overhead MUX Q SD CK D flip-flop Master open Slave open CK t Normal mode, D selected Scan mode, SD selected TC t

  15. Scannable Flip-flop SCAN PHI _ b PHI D SI PHI PHI PHI _ b PHI _ b

  16. Level-Sensitive Scan-Design Flip-Flop (LSSD-SFF) Master latch Slave latch D Q MCK Q SCK D flip-flop SD MCK Normal mode Logic overhead TCK MCK TCK Scan mode TCK SCK t

  17. Adding Scan Structure PI PO SFF SCANOUT Combinational logic SFF SFF TC or TCK Not shown: CK or MCK/SCK feed all SFFs. SCANIN

  18. Multiple Scan Registers • Scan flip-flops can be distributed among any number of shift registers, each having a separate scanin and scanout pin. • Test sequence length is determined by the longest scan shift register. • Just one test control (TC) pin is essential. PI/SCANIN PO/ SCANOUT Combinational logic M U X SFF SFF SFF TC CK

  19. Scan Overheads • IO pins: One pin necessary. • Area overhead: • Each flip-flop adds an overhead of 4 gates • More accurate estimate must consider scan wiring and layout area. • Performance overhead: • Multiplexer delay added in combinational path; approx. two gate-delays. • Flip-flop output loading due to one additional fanout; approx. 5-6%.

  20. Hierarchical Scan • Scan flip-flops are chained within subnetworks before chaining subnetworks. • Advantages: • Automatic scan insertion in netlist • Circuit hierarchy preserved – helps in debugging and design changes • Disadvantage: Non-optimum chip layout. Scanout Scanin SFF4 SFF1 SFF1 SFF3 Scanin Scanout SFF3 SFF2 SFF4 SFF2 Hierarchical netlist Flat layout

  21. Optimum Scan Layout X’ X SFF cell IO pad SCANIN Flip- flop cell Y’ Y TC SCAN OUT Routing channels Active areas: XY and X’Y’ Interconnects

  22. Scan Area Overhead Linear dimensions of active area: X = (C + S) / r X’ = (C + S + aS) / r Y’ = Y + ry = Y + Y(1--b) / T Area overhead X’Y’--XY = -------------- x 100% XY 1--b = [(1+as)(1+ -------) – 1] x 100% T 1--b ≈(as + ------- ) x 100% T y = track width, wire width+separation, in length units C = total comb. cell width S = total non-scan FF cell width s = fractional FF cell area = S/(C+S) a = SFF cell width fractional increase r = number of cell rows or routing channels b = routing fraction in active area T = cell height in track dimension y

  23. Example: Scan Layout • 2000-gate CMOS chip • Fractional area under flip-flop cells, s = 0.478 • Scan flip-flop (SFF) cell width increase, a = 0.25 • Routing area fraction, b = 0.471 • Cell height in routing tracks, T = 10 • Calculated overhead = 17.24% • Actual measured data: Scan implementation Area overhead Normalized clock rate ______________________________________________________________________ None 0.0 1.00 Hierarchical 16.93% 0.87 Optimum layout 11.90% 0.91

  24. Automated Scan Design Behavior, RTL, and logic Design and verification Rule violations Scan design rule audits Gate-level netlist Combinational ATPG Scan hardware insertion Combinational vectors Scan netlist Scan sequence and test program generation Chip layout: Scan- chain optimization, timing verification Scan chain order Design and test data for manufacturing Mask data Test program

  25. Timing and Power • Small delays in scan path and clock skew can cause race condition. • Large delays in scan path require slower scan clock. • Dynamic multiplexers: Skew between TC and TC_b signals can cause momentary shorting of D and SD inputs. • Random signal activity in combinational circuit during scan can cause excessive power dissipation.

  26. Summary • Scan is the most popular DFT technique: • Rule-based design • Automated DFT hardware insertion • Combinational ATPG • Advantages: • Design automation • High fault coverage; helpful in diagnosis • Hierarchical – scan-testable modules are easily combined into large scan-testable systems • Moderate area (~10%) and speed (~5%) overheads • Disadvantages: • Large test data volume and long test time • Basically a slow speed (DC) test

More Related