1 / 32

Multimedia Workloads versus SPEC Benchmarks

Multimedia Workloads versus SPEC Benchmarks. Christopher Martinez, Mythri Pinnamaneni, and Eugene John University of Texas – San Antonio. Outline. Motivation Multimedia Workloads Cycles Per Instruction Branch Prediction Cache Performance Conclusion. Motivation.

issac
Download Presentation

Multimedia Workloads versus SPEC Benchmarks

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Multimedia Workloads versus SPEC Benchmarks Christopher Martinez, Mythri Pinnamaneni, and Eugene John University of Texas – San Antonio

  2. Outline • Motivation • Multimedia Workloads • Cycles Per Instruction • Branch Prediction • Cache Performance • Conclusion

  3. Motivation • The common workloads for the home user now focus upon entertainment • For the home user entertainment performance is the selling point • There are many media benchmarks but can SPEC benchmarks give some insight to entertainment applications?

  4. Objective • Understand the performance characteristics of multimedia workloads • Compare them against SPEC CPU 2000

  5. Multimedia Workloads • Codecs used include: mp3, aac, MPEG2(dvd), windows media(dvd, HD), and MPEG4 • Examine multimedia playback and creation (decoding/encoding)

  6. Multimedia Workloads • Decoding • MP3/AAC – iTunes, Winamp, RealPlayer • Video – Windows Media Player • Encoding • MP3 – iTunes, Windows Media Player, RealPlayer • AAC – iTunes, RealPlayer • Video – Windows Encoder

  7. Multimedia Workloads • MP3 files used a bitrate of 128kbps • AAC files used a bitrate of 128kbps • Video files used presets from applications • Video was a TV capture of a football game • Audio encoding was done on Beethoven Symphonie Pastoraie • Audio playback was done on “Boulevard Of Broken Dreams” by Greenday

  8. Performance • Performance based on common measurements: cycles per instruction (CPI), uops per instruction, branch prediction, cache hit rate • Use on chip performance counters on the Pentium 4 processor • Use Vtune to capture the on chip counters

  9. CPI • Our test were performed on a Pentium 4 which is capable of executing 6 micro operation per second (uops) • Audio decoding CPI --- 1.85 - 3.55 • Audio encoding CPI --- 1.40 - 2.11 • Video decoding --- 1.96 - 2.56 • Video encoding --- 1.82 and 2.08 • Integer SPEC 2000 CPI --- 1.16 - 8.54 • Floating SPEC 2000 CPI --- 4.72 – 8.31

  10. CPI

  11. uops • Audio decoding uops --- 1.38 – 1.71 • Audio encoding uops --- 1.30 – 1.41 • Video decoding uops --- 1.28 – 1.43 • Video encoding uops --- 1.29 – 1.31 • SPEC 2000 integer uops --- 1.29 – 2.11 • SPEC 2000 float uops --- 1.32 – 2.48

  12. Branch Prediction • SPEC benchmarks have a large percentage of branch instructions than media applications • Audio decoding -- 12% branch instructions • Audio encoding -- 7% branch instructions • Video decoding & encoding -- 8% branch instructions • SPEC -- 13% - 20% branch instructions

  13. Branch Prediction • Media and SPEC benchmark exhibit a high branch prediction rate • Prediction rates of 94% and higher in most cases • With media application there is a high correlation between misprediction and CPI

  14. Branch Prediction

  15. Cache Performance • The Pentium 4 processor has two level cache • 1st level 16KB & 2nd level 1MB • Multimedia deals with data in a linear fashion • Audio/Video must be played in order • This sequential data should allow for high hit rates • Since SPEC benchmark covers a wide application range not all benchmarks will resemble the media hit rates

  16. 1st Level Cache Performance • For 1st level cache hit rates the multimedia had hit rates of 93% and higher • Half of the SPEC benchmarks had similar 1st level hit rates • Remainder of the SPEC benchmarks were considerable worst performance

  17. 1st Level Cache Performance

  18. 2nd Level Cache Performance • For all multimedia application 2nd level cache had a hit rate of 99.8% or greater • Only 5 of the 14 SPEC benchmarks had similar 2nd level hit rates • Most of the remaining SPEC benchmarks had 98% or higher but 2 SPEC had 86%

  19. 2nd Level Cache Performance

  20. Conclusion • Audio and video have similar range in CPI, uops per instruction, and uops per cycle • SPEC programs exhibit performance characteristics in a much larger range than media. i.e SPEC suites are very diverse

  21. Conclusion • Both audio and video are comparable to SPEC in 2nd level cache performance • Half of the SPEC benchmarks resemble audio and video in 1st level cache • SPEC benchmarks can give some insight into performance of media applications

  22. CPI

  23. CPI

  24. uops

  25. uops

  26. uops • Besides just similar number of uops one can also look at the cycles to complete the uop

  27. uops

  28. Branch Prediction Audio Decoding

  29. Branch Prediction Audio Encoding

  30. Branch Prediction Video

  31. Branch Prediction

  32. Branch Prediction • The high correlation between branch prediction and CPI can give improvement insight • When new CPU enhancements show improvement in SPEC, a similar or higher gain will be observed in multimedia applications

More Related