1 / 10

Whistle Pongbat

Peter Capraro Michael Hankin Anand Rajeswaran. Whistle Pongbat. Concept. “Mortal Pongbat” meets “Brick” Paddle Control Options Noise Controlled Paddle Frequency Controlled Paddle Slide Whistle for more consistent sine waves Slide Whistle/Microphone Controller. Rules.

Download Presentation

Whistle Pongbat

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Peter Capraro Michael Hankin Anand Rajeswaran Whistle Pongbat

  2. Concept • “Mortal Pongbat” meets “Brick” • Paddle Control Options • Noise Controlled Paddle • Frequency Controlled Paddle • Slide Whistle for more consistent sine waves • Slide Whistle/Microphone Controller

  3. Rules • User scores if ball gets to right side of screen • Computer “blocks” protect that side • Bullet system to destroy blocks • “Computer” scores if ball gets to left side of screen • User has the paddle to protect this side • Paddle gradient to alter direction of ball movement • Game played to 5 points

  4. Architecture

  5. Audio Controller • Uses CODEC for analog to digital conversion • Splits clock to package sound byte WAV format (taken from MindTunes group, 2008)‏ • Passes 16 binary bits as converted integer

  6. VGA Controller • Component attributes passed from software • Paddle • Ball • Score • Blocks • Bullet

  7. Software • Paddle Control • Integer representation of WAV format • Positive Numbers: 0 - 32,767 • Negative Numbers: 65,536 - 32,768 • Bullet Control • Three hits to charge • One more hit fires • Destroys one or two blocks • Ball Control • Motion • Direction

  8. Frequency Algorithm • Tested In MatLab • Slide whistle showed decent sine wave • Broke down at extreme frequencies • Algorithm • Essentially reduce to square wave • Average consecutive period measurements • Frequency still inconsistent when frequency moved quickly • Solution: Tell user to move it slowly

  9. Paddle Movement Mechanism • Problem • On average, calculated pitch followed expected pitch • Wide and Constant Oscillation • Solution • Extreme High and Low boundary values required to change direction • Reaching boundary just once begins consistent motion

  10. Lessons Learned • FPGA Board and hardware/software communication • The importance of setting clear, simple, and testable goals • Don’t reinvent the wheel…but don’t trust that it turns correctly in the first place. • Not easy to work when somebody is always whistling annoyingly in the lab.

More Related