1 / 16

2009 ITRS Emerging Research Materials [ERM] December 16, 2009

2009 ITRS Emerging Research Materials [ERM] December 16, 2009. Michael Garner – Intel Daniel Herr – SRC. Kohei Ito Keio Univ. Ajey Jacob Intel James Jewett Intel Ted Kamins HP Takashi Kariya Ibiden Sean King Intel Atsuhiro Kinoshita Toshiba Yi-Sha Ku ITRI Wei-Chung Lo ITRI

oswald
Download Presentation

2009 ITRS Emerging Research Materials [ERM] December 16, 2009

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 2009 ITRSEmerging Research Materials[ERM]December 16, 2009 Michael Garner – Intel Daniel Herr –SRC

  2. Kohei Ito Keio Univ. Ajey Jacob Intel James Jewett Intel Ted Kamins HP Takashi Kariya Ibiden Sean King Intel Atsuhiro Kinoshita Toshiba Yi-Sha Ku ITRI Wei-Chung Lo ITRI Louis Lome IDA Cons. Allan MacDonald Texas A&M Francois Martin LETI Fumihiro Matsukura Tohoku U. Yoshiyuki Miyamoto NEC Paul Nealey U. Wisc. Fumiyuki Nihey NEC Dmitri Nikonov Intel Yaw Obeng NIST Chris Ober Cornell Univ. Jeff Peterson Intel Ramamoorthy Ramesh U.C. Berkeley Paolo Rapposelli Intel Nachiket Raravikar Intel Curt Richter NIST Dave Roberts Nantero Jae Sung Roh Hynix Tadashi Sakai Toshiba Mitusru Sato TOK Sadasivan Shankar Intel Shintaro Sato Fujitsu Hideyuki Sasaki NanoAnalysis Corp Atsushi Shiota JSRMicro Kaushal Singh AMAT 2008 - 2009 ERM Participants Tsung-Tsan Su ITRI Naoyuki Sugiyama Toray Raja Swaminathan Intel Yoshihiro Todokoro NAIST Yasuhide Tomioka AIST Peter Trefonas Rohm Haas Toyohiro Chikyow NIMS Ming-Jinn Tsai ITRI Ken Uchida TI Tech Yasuo Wada Toyo U Vijay Wakharkar Intel Kang Wang UCLA Rainer Waser Aacken Univ. Jeff Welser IBM/NRI C.P. Wong GA Tech. Univ. H.S. Philip Wong Stanford University Hiroshi Yamaguchi NTT Toru Yamaguchi NTT Chin-Tien Yang ITRI Yuegang Zhang LBNL Victor Zhirnov SRC Chuck Szmanda Dow HiroAkinaga AIST Nobuo Aoi Matsushita Koyu Asai Renesas Yuji Awano Fujitsu Rama Ayothi JSR Daniel-Camille Bensahel STM Bill Bottoms Nanonexus George Bourianoff Intel Alex Bratkovski HP Bernard Capraro Intel John Carruthers Port. State Univ. David Chang SPIL An Chen AMD Zhihong Chen IBM Byung Jin Cho KAIST U-In Chung Samsung Luigi Colombo TI Hongjie Dai Stanford Univ. Jean Dijon LETI Catherine Dubourdieu L. Mat. Genie Phys. Satoshi Fujimura TOK Michael Garner Intel Emmanuel Giannelis Cornell Univ. Michael Goldstein Intel Dan Herr SRC Bill Hinsberg IBM Jim Hutchby SRC Saori Ishizu AIST

  3. Key Messages In 2009, the ERM Working Group: • Focused on ITWG identified application opportunities, in the following areas: • Emerging Research Devices • Lithography • Front End Processes and PIDS • Interconnects • Assembly and Packaging • Established and launched a critical assessment process • Completed 1st assessment of Alternate Channel Materials

  4. Extending CMOS Alternate Channel Materials MOS Alternate Channel Materials - Ge and III-V Compounds - Nanowires - Graphene - Carbon Nanotubes Materials Assessments Include: Material Quality/Performance Gate Requirements Contact Behavior Interfaces III-V Heterostructures (L. Samuelson, Lund Univ.) A. Geim, Manchester U. - Also identify related novel metrology and modeling needs C. Zhou, USC

  5. Critical Review – Evaluation Scale Overall Potential Assessment (OPA) = Potential Summed over the Eight Relevance Criteria for each Material Entry Maximum Overall Potential Assessment (OPA) Sum = 24 Minimum Overall Potential Assessment (OPA) Sum = 8

  6. Alternate Channel Materials – Critical Assessment OPA >18 < 14 >14 -18 Performance Unpinned Fermi level, 10% thickness (1s), Dit <1E12/(eV-cm2) Demonstrate /understand controlled n and/or p channel & S/D doping, e.g. 10% (1s) Understand formation mechanism, develop low defect density strategy Material grown on Si wafers with low defect density CMOS compatible catalyst, as needed, 10% of half pitch, vert. and/or horizontal Contact resistivity <1E-8 W-cm2 Integration Average (excluding mobility) Mobilities: Hole >5000 cm2/ V-s and/or electron >5000 cm2/V-s Property Control 10% (1s) Ge [For p-channel devices] (2013-2018) III-V Materials (2019+) Homogeneous Nanowires [Group IV and III-V] (2019+) Carbon Nanotubes (2019+) Graphene (2019+)

  7. Beyond CMOS Materials & Interfaces States Other Than Charge Only Charge Based Ferroelectric Polarization Individual or Collective Assess: • Ferromagnetic Materials, Dilute Magnetic Semiconductors • Complex Metal Oxides • Strongly Correlated Electron State Materials (FE, FM, FE & FM) • Macromolecules • Interfaces Spin State Negative Capacitance FET Spin Devices

  8. Memory Complex Metal Oxides & Transition Metal Oxides • Capacitive • FeFET • Resistive Memory • Nanoelectromechanical • STT • Nanothermal • Nano-ionic • Electronic Effects • Molecular Ferromagnetic Materials Chalcogenides Nanotubes & Nanowires Macromolecules

  9. Lithography Evolutionary Resist Design Positive Resist Novel Molecules for Double Exposure New Applications of Old Resist Non-Chemically Amplified (193nm) Negative Resist (EUV) Intermediate State Tethered Anthracene Bristol, Intel Directed Self Assembly Novel Molecular Resist Components Dendrimers, Frechet, UC-B Molecular Glasses Ober, Cornell Sparse Patterns Hinsberg, IBM

  10. Front End Processing & PIDS Materials Deterministic Doping Options STM Dopant Placement Monolayer Doping Directed Self Assembly for selective: • Etch • Deposition • Protection in clean operations Simmons, UNSW Javey, UCB Vt set by the number of dopant atoms at the interfaces and the channel depletion regions Proposals for massively parallel precision implants

  11. Wire Wire Via Via Interconnect Materials Interconnects • Ultra-thin Barrier Layers • - Transition Nitride (ZrN, HfN, ZrGeN,...) • - Direct Plate (Ir, Os, Rh, ...) • SAM • Ultra low-κ ILD • Novel Interconnects & Vias • - Carbon Nanotubes • - Graphene • - Single Crystal Metal Nanowires Y. Awano,Fujitsu

  12. Emerging Packaging Applications Thermal Nanotubes • Package Thermo-Mechanical • Substrate: Nanoparticles, Macromolecules • Adhesives: Macromolecules, Nanoparticles • Chip Interconnect: Nanoparticles • Polymers: Nanoparticles & Macromolecules • High Density Power Delivery Capacitors • Dielectrics: High- • Self Assembly • Interconnects: Nanotubes or Nanowires

  13. Hexagon of Assembly Material Requirements Examples: Thermal Interface Materials Mold Compounds Underfills Adhesives Epoxies Functional Properties Highly coupled material properties Apply novel materials to achieve optimal performance Moisture Resistance Adhesion Fracture Toughness Modulus CTE

  14. ESH Challenges Materials needed to overcome significant technical challenges: • Few materials could meet projected performance requirements • Materials have known or uncharacterized EHS properties • Stimulate ESH research in uncharacterized materials • Encourage development of best known methods for materials EHS in research, development and high volume manufacturing • Efficient use of materials and resources • Manufacturing in highly controlled environments

  15. Emerging Metrology and Modeling Needs • Metrology • Chemical and structural imaging and dimensional accuracy at the atomic and nanometer scales • Low dimensional material properties (Mapping) • Nondestructive nanoscale embedded interface characterization • Simultaneous dynamic spin and electrical properties • Nanometer scale characterization of vacancies and defects and their effects on electronic properties • Modeling Materials and Interfaces • Low dimensional materials synthesis and properties • Spin material properties • Strongly correlated electron material properties • Effects of anion and cation vacancies on electronic properties • Integrated metrology and modeling tools to de-convolve nanometer scale metrology signals • Metrology and modeling must be able characterize and predict performance and reliability

  16. ERM Summary In 2009, ERM identified, monitored, and assessed selected families materials in consideration as potential solutions for ITWG identified applications The 2009 ERM Ge and III-V assessment supports ERD’s recommendations Significant technical material challenges must be addressed for other Emerging Research Materials to warrant transition consideration to the ITWGs

More Related