1 / 5

Diagramas de Blocos e Descrição VHDL

Diagramas de Blocos e Descrição VHDL. VHDL  Diagrama de blocos.

ganya
Download Presentation

Diagramas de Blocos e Descrição VHDL

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Diagramas de Blocos e Descrição VHDL

  2. VHDL  Diagrama de blocos • Dada a descrição da entidade e da arquitetura do módulo InterfaceExterna. Considerando que os módulos: Incrementador, Armazenador, ControlaSaida e ControlaEntrada estão apenas mapeados na arquitetura do InterfaceExterna, faça o diagrama de blocos conrrespondente. entityInterfaceExterna is port ( entraDado: in std_logic_vector(7 downto 0); c, r, recebe, ack: in std_logic; aceita, envia: out std_logic; saiDado: out std_logic_vector(7 downto 0) ); endInterfaceExterna; architectureInterfaceExternaofInterfaceExterna is signalincrm: std_logic_vector(3 downto 0); signaldadoSaida: std_logic_vector(7 downto 0); begin T1: entityIncrementadorportmap(ck=>c, rst=>r, incrm=>incrm); T2: entitycontrolaEntradaportmap(ck=>c, rst=>r, incrm=>incrm, dI=>entraDado, snd=>envia, ack=>ack); T3: entity Armazenador portmap(ck=>c, rst=>r, dadoI=>entraDado, dadoO=>dadoSaida); T4: entitycontrolaSaidaportmap(ck=>c, rst=>r, incrm=>incrm, rcb=>recebr, ack=>aceita); saiDado<= dadoSaida; endInterfaceExterna; 

  3. VHDL  Diagrama de blocos entityincrementador is port( ck: in std_logic; rst: in std_logic; incrm: out std_logic_vector(3 downto 0) ); endincrementador; entitycontrolaEntrada is port( ck : in std_logic; rst : in std_logic; ack: in std_logic; dI: in std_logic_vector(7 downto 0); incrm: in std_logic_vector(3 downto 0); snd: out std_logic ); endcontrolaEntrada; entity Armazenador is port( ck: in std_logic; rst: in std_logic; dadoI: in std_logic_vector(7 downto 0); dadoO: out std_logic_vector(7 downto 0) ); end Armazenador; entitycontrolaSaida is port( • ck : in std_logic; • rst : in std_logic; rcb: in std_logic; incrm: in std_logic_vector(3 downto 0); ack: out std_logic ); endcontrolaSaida;

  4. VHDL  Diagrama de blocos Solução:

  5. Exercício: Diagrama de Blocos  VHDL • Dado o diagrama de blocos abaixo, faça a entidade e arquitetura do módulo mais externos e as entidades de módulos internos

More Related