1 / 5

ENG2410 Digital Design

ENG2410 Digital Design. LAB #6 Sequential Logic Design (Flip Flops). Lab Objectives. Understand the concept of sequential circuit. Understand sequential circuit design flow. Design a simple D Flip Flop using VHDL. Part 1 D Flip Flop. Design D-FF with asynchronous reset logic using VHDL.

Download Presentation

ENG2410 Digital Design

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ENG2410 Digital Design LAB #6 Sequential Logic Design (Flip Flops)

  2. Lab Objectives • Understand the concept of sequential circuit. • Understand sequential circuit design flow. • Design a simple D Flip Flop using VHDL. ENG241/Lab #6

  3. Part 1D Flip Flop • Design D-FF with asynchronous reset logic using VHDL. • Use an LED to display the output of the FF. ENG241/Lab #6

  4. Sample Sequential CircuitPositive Edge-Triggered D Flip-Flop • Note that this FF does not have a “reset” input ENG241/Lab #6

  5. Academic Misconduct • Reports and demos are submitted as a group, but it is a SINGLE group effort • You may talk with other groups but sharing codes or reports is NOT ALLOWED • Copying reports from previous years is also NOT ALLOWED • If we find copying we are REQUIRED to report it

More Related