1 / 25

IEE5011 –Autumn 2013 Memory Systems Vertical (3D) NAND Flash Memories

IEE5011 –Autumn 2013 Memory Systems Vertical (3D) NAND Flash Memories. Yu-Jie, Liang Department of Electronics Engineering National Chiao Tung University s980650@gmail.com. Outline. Introduction Overview of 3D NAND flash memory structure Gate stack type 3D NAND Flash (vertical)

jamesswest
Download Presentation

IEE5011 –Autumn 2013 Memory Systems Vertical (3D) NAND Flash Memories

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. IEE5011 –Autumn 2013Memory SystemsVertical (3D) NAND Flash Memories Yu-Jie, Liang Department of Electronics Engineering National Chiao Tung University s980650@gmail.com

  2. Outline Introduction Overview of 3D NAND flash memory structure Gate stack type 3D NAND Flash (vertical) Channel stack type 3D NAND Flash (horizontal) 3D vertical floating gate NAND Flash Conclusion Reference

  3. Introduction Fig.1 The trend of recent scaling.

  4. Introduction • Planar NAND flash limitation: • Program disturb, read disturb, endurance degradation. • Interference due to the noise; cross-talk issue. • Dielectric reliability does not scale; breakdown problem and leakage paths. • Not enough of electrons. • Solution: • Die Stacking of 2D-NAND? • Use 3D IC technology? (TSV?) • Monolithic 3D IC technology • Integrally molded concept (TFT-SONOS) • BE-SONOS

  5. Overview of 3D NAND flash structure • Gate stack type (vertical channel): • Bit cost scalable (BiCS) • Pipe-shaped Bit cost scalable (P-BiCS) • Vertical stack array transistor (VSAT) • Terabit cell array transistor (TCAT) • Channel stack type (horizontal channel): • Vertical Gate (VG) • Single Crystalline Stacked Array (STAR)

  6. Overview of 3D NAND flash structure • Gate stack type VSAT P-BiCS TCAT • Channel stack type VG

  7. Bit cost scalable (BiCS) Fig.2 Bit Cost scalability of three dimensional flash memory.

  8. Bit cost scalable (BiCS) Fig.3. Architecture of BiCS and Schematic of NAND memory • BiCS limitation: • Small P/E window, read disturb, low data retention capability. • Variations in the voltage on the source line. • Lower select gate in heavily doped is not easily controlled.

  9. Pipe-shaped Bit cost scalable (P-BiCS) Fig.4. Schematics of (a) BiCS (b) P-BiCS • Large P/E window, high speed, high data retention. • Read disturb of P-BiCS is sufficient for MLC operation.

  10. Terabit Cell Array Transistor (TCAT) • The metal gate structure • For good erase speed, wider Vth margin, and better retention • But difficult to etch metal/oxide multilayer simultaneously. • GIDL erase method • Extra area to apply negative bias on WL. Fig.5. Architecture of TCAT

  11. Limitation of Gate stack type BiCS suffers from WL interconnect, program disturbance, and channel resistance problem. The channel current of P-BiCS and TCAT is conducted through a hole drilled through the layers and an additional WL-cut process must be applied to isolate the WL’s in the X direction. They have limited in X pitch scalability due to the corresponding lithography overlay issue involved. The cell size of all vertical channel architectures is 6F2. It is not suitable for the traditional planar NAND cell size. (minimum F= 40nm~50nm) Low read current: As increase in the length of the NAND string, the read current degrades.

  12. 3D VG NAND Architectures Fig. 8 Dumb mode (without any P/E verify) P/E distributions of 3D VG NAND devices.. Fig.6. Architectures of VG charge trapping NAND flash. • Problems: • Wider distribution caused by grain boundary effect. • To isolate the SSL gate in X direction. The X pitch scalability will be limited (A) Using junction-free buried channel device [7]: Fig. 7 Read current with various channel doping.

  13. 3D VG NAND Architectures • (B) Using PN diode decoding structure [8]: Fig.10. Poly silicon PN diode I/V characteristics Fig.9. Architectures of the PN diode decoded VG NAND architecture. • A good PN diode with very low leakage is very important.

  14. 3D VG NAND Architectures • (C) In-layer plural normally-on SSL decoder[6]: • The first proposed VG NAND uses plural SSL gates • BL in multi-layers can be shared together. Fig.11. Schematic diagram of in-layer normally-on SSL decoder for VG NAND • Problems: • SSL devices must be kept in a complex normally-on status. This introduces a linearly increased layer cost. • As the number of stacked layer increases, the number of SSL gate is increased accordingly. • Normally-on SSL uses heavy N+ implant in the channel, thus requires careful thermal budget control.

  15. 3D VG NAND Architectures • (D) Island-gate SSL decoding method [9]: • Each channel BL has its own island-gate SSL for the selection/decoding. • As the number of stacked layer increases , the memory layer does not increase the array overhead size but simply change the unit and page number. Fig.12. Schematic diagram of 3DVG NAND using island-gate SSL decoding method • Problems: • The island-gate SSL decoding suffers BL pitch scaling limitation due to the overlay concern between SSL devices.

  16. 3D VG NAND Architectures • (E) Self-aligned IDG SSL decoding method [10]: • The top portion of the SSL poly gate is removed by an additional mask after WL patterning. Fig.13. Schematic structure of the IDG decoded VG NAND Flash. • Need careful optimization of turn-on voltage (+VSSL) and inhibit bias (-Vinhibit) in order to cover the often broad IDG (TFT) SSL Vt distribution.

  17. Summary of 3D VG NAND decoding Architectures • In (B), (D), (E), the area is largely fixed when stacking more layers. These fundamentally solve the issues in (C) and become more cost effective when stacking more layers. • In (B), (C), page operation is carried out in one memory layer each time. In (D), (E), page operation is carried out within all memory selected by one island-gate SSL in one unit, while many parallel units are programmed/read simultaneously.

  18. STAR NAND flash Architecture Fig.14. (a) Unit structure of 3D NAND Flash memory based on STAR, i.e., “building.” (b) Equivalent circuit of the building and operation voltage scheme table.

  19. Advantages of STAR over VG NAND • (1) Single-crystal channel: better performance without grain boundaries. • (2) Gate-all-around structure (GAA): good current drivability and small sub-threshold swing. • (3) Small intra-layer interference: • (4) No inter-layer interference: • (5) Small channel to channel coupling:

  20. 3D FG type NAND • (A) Extended Sidewall Control Gate (ESCG): Fig.15. (a) Bird’s-eye view and (b) cross-sectional view of 3D vertical FG-type cell using ESCG. Fig.16. Cross-sectional views of the coupling capacitance of the FG. • Better CG coupling ratio. • High-speed read/program operation, less interference effect and good reliability.

  21. 3D FG type NAND • (B) Separated Sidewall Control Gate (SSCG): Fig. 17. Three-dimensional vertical FG NAND with SSCG. (a) Bird’s eye view and (b) cross-sectional view in WL direction and (c) equivalent circuit of cell arrays.

  22. Conclusion

  23. Reference [1]Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; Katsumata, R.; Kito, M.; Fukuzumi, Y.; Sato, M.; Nagata, Y.; Matsuoka, Y.; Iwata, Y.; Aochi, H.; Nitayama, A., "Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory," VLSI Technology, 2007 IEEE Symposium on , vol., no., pp.14,15, 12-14 June 2007 [2] Nitayama, A.; Aochi, H., "Bit Cost Scalable (BiCS) technology for future ultra-high density storage memories," VLSI Technology (VLSIT), 2013 Symposium on , vol., no., pp.T60,T61, 11-13 June 2013 [3] Katsumata, R.; Kito, M.; Fukuzumi, Y.; Kido, M.; Tanaka, H.; Komori, Y.; Ishiduki, M.; Matsunami, J.; Fujiwara, T.; Nagata, Y.; Li Zhang; Iwata, Y.; Kirisawa, R.; Aochi, H.; Nitayama, A., "Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra-high density storage devices," VLSI Technology, 2009 Symposium on , vol., no., pp.136,137, 16-18 June 2009 [4] Jaehoon Jang; Han-Soo Kim; Wonseok Cho; Hoosung Cho; Jinho Kim; Sun Il Shim; Younggoan Jang; Jae-Hun Jeong; Byoung-Keun Son; Dong Woo Kim; Kihyun; Jae-Joo Shim; Jin Soo Lim; Kyoung-Hoon Kim; Su Youn Yi; Ju-Young Lim; Dewill Chung; Hui-Chang Moon; Sungmin Hwang; Jong-Wook Lee; Yong-Hoon Son; Chung, U-in; Lee, Won-Seong, "Vertical cell array using TCAT(Terabit Cell Array Transistor) technology for ultra high density NAND flash memory," VLSI Technology, 2009 Symposium on , vol., no., pp.192,193, 16-18 June 2009 [5] Hang-Ting Lue; Shih-Hung Chen; Yen-Hao Shih; Kuang-Yeu Hsieh; Chih-Yuan Lu, "Overview of 3D NAND Flash and progress of vertical gate (VG) architecture," Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on , vol., no., pp.1,4, Oct. 29 2012-Nov. 1 2012 [6] Wonjoo Kim; Sangmoo Choi; Junghun Sung; TaeHee Lee; Chulmin Park; Hyoungsoo Ko; Juhwan Jung; Inkyong Yoo; Yoondong Park, "Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage," VLSI Technology, 2009 Symposium on , vol., no., pp.188,189, 16-18 June 2009 [7] Hang-Ting Lue; Tzu-Hsuan Hsu; Yi-Hsuan Hsiao; Hong, S.P.; Wu, M.T.; Hsu, F.H.; Lien, N. Z.; Szu-Yu Wang; Jung-Yu Hsieh; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Chih-Yuan Lu, "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device," VLSI Technology (VLSIT), 2010 Symposium on , vol., no., pp.131,132, 15-17 June 2010

  24. Reference [8] Chun-Hsiung Hung; Hang-Ting Lue; Kuo-Pin Chang; Chih-Ping Chen; Yi-Hsuan Hsiao; Shih-Hung Chen; Yen-Hao Shih; Kuang-Yeu Hsieh; Yang, M.; Lee, J.; Szu-Yu Wang; Tahone Yang; Kuang-Chao Chen; Chih-Yuan Lu, "A highly scalable vertical gate (VG) 3D NAND Flash with robust program disturb immunity using a novel PN diode decoding structure," VLSI Technology (VLSIT), 2011 Symposium on , vol., no., pp.68,69, 14-16 June 2011 [9] Kuo-Pin Chang; Hang-Ting Lue; Chih-Ping Chen; Chieh-Fang Chen; Yan-Ru Chen; Yi-Hsuan Hsiao; Chih-Chang Hsieh; Yen-Hao Shih; Tahone Yang; Kuang-Chao Chen; Chun-Hsiung Hung; Chih-Yuan Lu, "Memory Architecture of 3D Vertical Gate (3DVG) NAND Flash Using Plural Island-Gate SSL Decoding Method and Study of it's Program Inhibit Characteristics," Memory Workshop (IMW), 2012 4th IEEE International , vol., no., pp.1,4, 20-23 May 2012 [10] Chih-Ping Chen; Hang-Ting Lue; Kuo-Pin Chang; Yi-Hsuan Hsiao; Chih-Chang Hsieh; Shih-Hung Chen; Yen-Hao Shih; Kuang-Yeu Hsieh; Tahone Yang; Kuang-Chao Chen; Chih-Yuan Lu, "A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel self-aligned independently controlled double gate (IDG) string select transistor (SSL)," VLSI Technology (VLSIT), 2012 Symposium on , vol., no., pp.91,92, 12-14 June 2012 [11] Yoon Kim; Jang-Gn Yun; Se-Hwan Park; Wandong Kim; Joo Yun Seo; Myounggon Kang; Kyung-Chang Ryoo; Jeong-Hoon Oh; Lee, Jong-Ho; Hyungcheol Shin; Park, Byung-Gook, "Three-Dimensional nand Flash Architecture Design Based on Single-Crystalline STacked ARray," Electron Devices, IEEE Transactions on , vol.59, no.1, pp.35,45, Jan. 2012 [12]SungJin Whang; KiHong Lee; DaeGyu Shin; BeomYong Kim; MinSoo Kim; JinHo Bin; JiHye Han; SungJun Kim; BoMi Lee; YoungKyun Jung; SungYoon Cho; ChangHee Shin; HyunSeung Yoo; SangMoo Choi; Kwon Hong; Aritome, S.; SungKi Park; Sungjoo Hong, "Novel 3-dimensional Dual Control-gate with Surrounding Floating-gate (DC-SF) NAND flash cell for 1Tb file storage application," Electron Devices Meeting (IEDM), 2010 IEEE International , vol., no., pp.29.7.1,29.7.4, 6-8 Dec. 2010 [13]Moon-Sik Seo; Sung-Kye Park; Endoh, T., "3-D Vertical FG nand Flash Memory With a Novel Electrical S/D Technique Using the Extended Sidewall Control Gate," Electron Devices, IEEE Transactions on , vol.58, no.9, pp.2966,2973, Sept. 2011 [14]Moon-Sik Seo; Bong-Hoon Lee; Sung-Kye Park; Endoh, T., "A Novel 3-D Vertical FG NAND Flash Memory Cell Arrays Using the Separated Sidewall Control Gate (S-SCG) for Highly Reliable MLC Operation," Memory Workshop (IMW), 2011 3rd IEEE International , vol., no., pp.1,4, 22-25 May 2011 [15] Hang-Ting Lue; Yi-Hsuan Hsiao; Pei-Ying Du; Sheng-Chih Lai; Tzu-Hsuan Hsu; Hong, S.P.; Wu, M.T.; Hsu, F.H.; Lien, N. Z.; Chi-Pin Lu; Jung-Yu Hsieh; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu, "A novel buried-channel FinFET BE-SONOS NAND Flash with improved memory window and cycling endurance," VLSI Technology, 2009 Symposium on , vol., no., pp.224,225, 16-18 June 2009

  25. Thank you

More Related